Yosys: un framework open source per strumenti di sintesi Verilog

Yosys show_rtl

La settimana scorsa Un annuncio è stato fatto da W. Clifford che ha rilasciato la nuova versione 0.8 di Yosys.

Per coloro che non hanno sentito parlare di Yosys posso dirvi che eQuesto è un framework per strumenti di sintesi RTL. Attualmente conta con un'ampia compatibilità con Verilog e fornisce un insieme di base di algoritmi di sintesi per vari domini applicativi.

Molte cose sono state aggiunte in questa nuova versione, ma la principale informazione che si può vedere nella nota di rilascio è che lo sviluppo di Yosys è molto attivo.

L'obiettivo di Yosys di diventare il "coltellino svizzero gratuito" dell'FPGA può essere considerato quasi indispensabile oggi.

Informazioni su Yosys Open SYnthesis Suite

Yosy può essere adattato per eseguire qualsiasi lavoro di sintesi combinando passaggi esistenti (algoritmi) utilizzando script di sintesi e aggiungendo passaggi aggiuntivi secondo necessità quando si estende la base di codice C ++ di Yosys.

Yosys è un software gratuito concesso in licenza con la licenza ISC (una licenza conforme alla GPL che è simile in termini alla licenza MIT o alla licenza BSD a 2 clausole).

Nel processo di sviluppo FPGA / ASIC, la sintesi è la fase di conversione del modello hardware simulato in "netlist RTL" da cui è possibile derivare il circuito effettivo.

mostra_grossolano

A proposito di Verilog

Verilog è un linguaggio di modellazione hardware (linguaggio di descrizione hardware HDL) per descrivere una simulazione di circuiti digitali.

Questo modello Verilog serve come specifica per una realizzazione "fisica" del componente modellato.

La sintesi HDL può essere vista come una conversione del codice HDL in uno "schema elettronico" costituito da componenti digitali collegati tra loro. Questo è chiamato "Elenco reti RTL" (livello trasferimento registro).

Yosys non è l'unico software gratuito per la sintesi di Verilog (Possiamo anche pensare di utilizzare ODIN II nella suite software VTR).

Ma, senza dubbio, il software libero è quello di cui si è parlato più di recente e il cui sviluppo è il più attivo.

Infatti, Yosys ora punta a molti FPGA economici come CoolRunner-II, ECP5, MAX10, Cyclone IV e soprattutto ICE40.

L'ICE40 è un po 'speciale nella lista, poiché per questo FPGA è stata lanciata l'intera catena di sviluppo.

Ovviamente, possiamo fare la sintesi Verilog poiché l'FPGA è compatibile con Yosys, ma anche il percorso di instradamento con arachnepnr, così come la generazione del file di configurazione (bitstream) con icepack / iceprog.

È anche possibile controllare gli orari con icetime.

mostra_cmos

Informazioni sulla nuova versione di Yosys

  • In questa nuova release di Yosys sono state annunciate le nuove funzionalità, di cui si possono evidenziare le seguenti:
  • Il linguaggio FIRRTL utilizzato da Chisel3 è un possibile backend per generare la netlist;
  • Il supporto del motore di verifica formale di yosys-smtb può essere quasi considerato stabile.
  • L'elenco degli FPGA supportati (e di altri ASIC) è disperatamente lungo;
  • Oltre ai formati «netlist» che consentono la reintegrazione nel software proprietario dei fondatori: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Come installare Yosys su Linux?

Per coloro che sono interessati a poter provare questo strumento, possono eseguire l'installazione sui propri sistemi. Per fare ciò, devono seguire le istruzioni che condividiamo di seguito.

a coloro che sono utenti di Ubuntu e derivati ​​da esso possono godere di una semplice installazione. Questo può essere fatto aggiungendo il seguente repository al tuo sistema.

Per prima cosa dobbiamo aprire un terminale con Ctrl + ALT + T e in esso eseguire il seguente comando:

sudo add-apt-repository ppa:saltmakrell/ppa

Fatto ciò, dobbiamo ora aggiornare il nostro elenco di pacchetti e repository con:

sudo apt-get update 

E infine installiamo l'applicazione con:

sudo apt-get install yosys

Per il resto delle distribuzioni Linux dobbiamo compilare lo strumento. Per questo dobbiamo clonare il codice sorgente del repository GIT:

git clone https://github.com/cliffordwolf/yosys.git

Configura le impostazioni di build con:

make config-clang

vi Makefile

vi Makefile.conf

Infine crea, prova e installa:

make

make test

sudo make install

Per ottenere maggiori informazioni a riguardo, oltre che per poter reperire documentazione sull'utilizzo di Yosys, puoi visitare il seguente collegamento.


Lascia un tuo commento

L'indirizzo email non verrà pubblicato. I campi obbligatori sono contrassegnati con *

*

*

  1. Responsabile del trattamento: AB Internet Networks 2008 SL
  2. Scopo dei dati: controllo SPAM, gestione commenti.
  3. Legittimazione: il tuo consenso
  4. Comunicazione dei dati: I dati non saranno oggetto di comunicazione a terzi se non per obbligo di legge.
  5. Archiviazione dati: database ospitato da Occentus Networks (UE)
  6. Diritti: in qualsiasi momento puoi limitare, recuperare ed eliminare le tue informazioni.