Yosys: un cadru open source pentru instrumentele de sinteză Verilog

Yosys show_rtl

Săptămâna trecută Un anunț a fost făcut de W. Clifford, care a lansat noua versiune 0.8 a lui Yosys.

Pentru cei care nu au auzit de Yosys vă pot spune că eAcesta este un cadru pentru instrumentele de sinteză RTL. Momentan contează cu compatibilitate largă Verilog și oferă un set de bază de algoritmi de sinteză pentru diverse domenii de aplicații.

Multe lucruri au fost adăugate în această nouă versiune, dar principalele informații care pot fi văzute în nota de lansare sunt că dezvoltarea Yosys este foarte activă.

Obiectivul lui Yosys de a deveni „cuțitul de armată elvețian gratuit” al FPGA poate fi considerat aproape indispensabil astăzi.

Despre Yosys Open SYnthesis Suite

yosys poate fi adaptat pentru a efectua orice lucrare de sinteză prin combinarea trecerilor existente (algoritmi) folosind scripturi de sinteză și adăugând treceri suplimentare după cum este necesar atunci când extindeți baza de cod Yosys C ++.

Yosys este un software gratuit licențiat sub licența ISC (o licență conformă cu GPL care este similară în termeni cu licența MIT sau licența BSD cu 2 clauze).

În procesul de dezvoltare FPGA / ASIC, sinteza este pasul de conversie a modelului hardware simulat la „RTL netlist” din care poate fi derivat circuitul real.

arată_gros

Despre Verilog

Verilog este un limbaj de modelare hardware (Hardware Description Language HDL) pentru descrierea unei simulări de circuite digitale.

Acest model Verilog servește ca o specificație pentru o realizare „fizică” a componentei modelate.

Sinteza HDL poate fi privită ca o conversie a codului HDL într-o „schemă electronică” formată din componente digitale conectate între ele. Aceasta se numește „Lista de rețea RTL” (nivel de transfer jurnal).

Yosys nu este singurul software gratuit pentru sinteza Verilog (Ne putem gândi, de asemenea, să folosim ODIN II în suita software VTR).

Dar, fără îndoială, software-ul gratuit este cel despre care s-a vorbit cel mai recent și a cărui dezvoltare este cea mai activă.

De fapt, Yosys vizează acum o mulțime de FPGA ieftine, cum ar fi CoolRunner-II, ECP5, MAX10, Cyclone IV și mai ales ICE40.

ICE40 este un pic special pe listă, deoarece pentru acest FPGA a fost lansat întregul lanț de dezvoltare.

Desigur putem face sinteza Verilog, deoarece FPGA este compatibil cu Yosys, dar și locația de rutare cu arachnepnr, precum și generarea fișierului de configurare (bitstream) cu icepack / iceprog.

De asemenea, este posibil să verificați orele cu icetime.

show_cmos

Despre noua versiune a lui Yosys

  • În această nouă lansare Yosys, au fost anunțate noile funcționalități, dintre care se pot evidenția următoarele:
  • Limbajul FIRRTL folosit de Chisel3 este un posibil backend pentru a genera netlistul;
  • Suportul formal de verificare a yosys-smtb poate fi considerat aproape stabil.
  • Lista FPGA-urilor acceptate (și a altor ASIC-uri) este fără îndoială lungă;
  • La fel și formatele de „netlist” care permit reintegrarea în software-ul proprietar al fondatorilor: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Cum se instalează Yosys pe Linux?

Pentru cei care sunt interesați să poată încerca acest instrument, pot efectua instalarea pe sistemele lor. Pentru a face acest lucru, trebuie să urmeze instrucțiunile pe care le împărtășim mai jos.

la cei care sunt utilizatori Ubuntu și derivați ai acestuia se pot bucura de o instalare simplă. Acest lucru se poate face prin adăugarea următorului depozit la sistemul dvs.

Mai întâi trebuie să deschidem un terminal cu Ctrl + ALT + T și în acesta să executăm următoarea comandă:

sudo add-apt-repository ppa:saltmakrell/ppa

Făcut acest lucru acum, trebuie să ne actualizăm lista de pachete și depozite cu:

sudo apt-get update 

Și în cele din urmă instalăm aplicația cu:

sudo apt-get install yosys

Pentru restul distribuțiilor Linux trebuie să compilăm instrumentul. Pentru aceasta trebuie să clonăm codul sursă al depozitului GIT:

git clone https://github.com/cliffordwolf/yosys.git

Configurați setările de construire cu:

make config-clang

vi Makefile

vi Makefile.conf

În cele din urmă, construiți, testați și instalați:

make

make test

sudo make install

Pentru a obține mai multe informații despre aceasta, precum și pentru a putea găsi documentație privind utilizarea Yosys, puteți vizitați următorul link.


Lasă comentariul tău

Adresa ta de email nu va fi publicată. Câmpurile obligatorii sunt marcate cu *

*

*

  1. Responsabil pentru date: AB Internet Networks 2008 SL
  2. Scopul datelor: Control SPAM, gestionarea comentariilor.
  3. Legitimare: consimțământul dvs.
  4. Comunicarea datelor: datele nu vor fi comunicate terților decât prin obligație legală.
  5. Stocarea datelor: bază de date găzduită de Occentus Networks (UE)
  6. Drepturi: în orice moment vă puteți limita, recupera și șterge informațiile.