Yosys: uma estrutura de código aberto para ferramentas de síntese Verilog

Yosys show_rtl

Na semana passada Um anúncio foi feito por W. Clifford, que lançou a nova versão 0.8 do Yosys.

Para quem ainda não ouviu falar de Yosys, posso dizer que eEsta é uma estrutura para ferramentas de síntese RTL. Atualmente conta com ampla compatibilidade Verilog e fornece um conjunto básico de algoritmos de síntese para vários domínios de aplicação.

Muitas coisas foram adicionadas neste novo lançamento, mas a principal informação que pode ser vista na nota de lançamento é que o desenvolvimento do Yosys está muito ativo.

O objetivo de Yosys de se tornar o "canivete suíço gratuito" do FPGA pode ser considerado quase indispensável hoje.

Sobre o Yosys Open SYnthesis Suite

yosys pode ser adaptado para realizar qualquer trabalho de síntese combinando passes existentes (algoritmos) usando scripts de síntese e adicionando passagens adicionais conforme necessário ao estender a base de código Yosys C ++.

Yosys é um software livre licenciado sob a licença ISC (uma licença compatível com GPL que é semelhante em termos à licença MIT ou à licença BSD de 2 cláusulas).

No processo de desenvolvimento FPGA / ASIC, a síntese é a etapa de conversão do modelo de hardware simulado em "netlist RTL", da qual o circuito real pode ser derivado.

mostrar_grosseiro

Sobre a Verilog

Verilog é uma linguagem de modelagem de hardware (Hardware Description Language HDL) para descrever uma simulação de circuitos digitais.

Este modelo Verilog serve como uma especificação para uma realização "física" do componente modelado.

A síntese de HDL pode ser vista como uma conversão do código HDL em um "esquema eletrônico" que consiste em componentes digitais conectados uns aos outros. Isso é chamado de "Lista de Rede RTL" (Nível de Transferência de Log).

Yosys não é o único software livre para síntese Verilog (Também podemos pensar em usar ODIN II no pacote de software VTR).

Mas, sem dúvida, software livre é aquele que se tem falado mais recentemente e cujo desenvolvimento é o mais ativo.

Na verdade, Yosys agora tem como alvo muitos FPGAs baratos, como CoolRunner-II, ECP5, MAX10, Cyclone IV e especialmente o ICE40.

O ICE40 é um pouco especial na lista, já que para este FPGA toda a cadeia de desenvolvimento foi lançada.

Naturalmente, podemos fazer a síntese Verilog, uma vez que o FPGA é compatível com Yosys, mas também a localização de roteamento com arachnepnr, bem como a geração do arquivo de configuração (bitstream) com icepack / iceprog.

Também é possível verificar os horários com o icetime.

mostrar_cmos

Sobre a nova versão do Yosys

  • Neste novo lançamento do Yosys, foram anunciadas as novas funcionalidades, das quais se destacam:
  • A linguagem FIRRTL usada pelo Chisel3 é um possível backend para gerar a netlist;
  • O suporte do mecanismo de verificação formal de yosys-smtb pode quase ser considerado estável.
  • A lista de FPGAs com suporte (e outros ASICs) é irremediavelmente longa;
  • Bem como os formatos «netlist» que permitem a reintegração ao software proprietário dos fundadores: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Como instalar o Yosys no Linux?

Para quem é estão interessados ​​em poder experimentar esta ferramenta, eles podem realizar a instalação em seus sistemas. Para fazer isso, eles devem seguir as instruções que compartilhamos abaixo.

Pára aqueles que são usuários do Ubuntu e derivados dele podem desfrutar de uma instalação simples. Isso pode ser feito adicionando o seguinte repositório ao seu sistema.

Primeiro devemos abrir um terminal com Ctrl + ALT + T e nele executar o seguinte comando:

sudo add-apt-repository ppa:saltmakrell/ppa

Feito isso agora, devemos atualizar nossa lista de pacotes e repositórios com:

sudo apt-get update 

E, finalmente, instalamos o aplicativo com:

sudo apt-get install yosys

Para o resto das distribuições Linux, devemos compilar a ferramenta. Para isso, devemos clonar o código-fonte do repositório GIT:

git clone https://github.com/cliffordwolf/yosys.git

Defina as configurações de compilação com:

make config-clang

vi Makefile

vi Makefile.conf

Por fim, crie, teste e instale:

make

make test

sudo make install

Para obter mais informações sobre ele, bem como encontrar documentação sobre o uso do Yosys, você pode visite o seguinte link.


Deixe um comentário

Seu endereço de email não será publicado. Campos obrigatórios são marcados com *

*

*

  1. Responsável pelos dados: AB Internet Networks 2008 SL
  2. Finalidade dos dados: Controle de SPAM, gerenciamento de comentários.
  3. Legitimação: Seu consentimento
  4. Comunicação de dados: Os dados não serão comunicados a terceiros, exceto por obrigação legal.
  5. Armazenamento de dados: banco de dados hospedado pela Occentus Networks (UE)
  6. Direitos: A qualquer momento você pode limitar, recuperar e excluir suas informações.