Yosys: een open source framework voor Verilog-synthesetools

Yosys show_rtl

In de afgelopen week Een aankondiging werd gedaan door W. Clifford die de nieuwe versie 0.8 van Yosys uitbracht.

Voor degenen die nog nooit van Yosys hebben gehoord, kan ik je vertellen dat eDit is een raamwerk voor RTL-synthesetools​ Momenteel telt met brede Verilog-compatibiliteit en biedt een basisset van synthese-algoritmen voor verschillende toepassingsdomeinen.

Er zijn veel dingen toegevoegd in deze nieuwe release, maar de belangrijkste informatie die in de release-opmerking te zien is, is dat de ontwikkeling van Yosys erg actief is.

Yosys 'doel om het "gratis Zwitserse zakmes" van de FPGA te worden, kan tegenwoordig als bijna onmisbaar worden beschouwd.

Over Yosys Open SYnthesis Suite

yosys kan worden aangepast om elk synthesewerk uit te voeren door bestaande passen te combineren (algoritmen) het gebruik van synthesescripts en het toevoegen van extra passages indien nodig bij het uitbreiden van de Yosys C ++ - codebasis.

Yosys is gratis software die is gelicentieerd onder de ISC-licentie (een GPL-compatibele licentie die vergelijkbaar is met de MIT-licentie of de 2-clausule BSD-licentie).

In het FPGA / ASIC-ontwikkelingsproces is synthese de stap van het omzetten van het gesimuleerde hardwaremodel naar "RTL-netlijst", waaruit het daadwerkelijke circuit kan worden afgeleid.

toon_grof

Over Verilog

Verilog is een Hardware Modeling Language (Hardware Description Language HDL) voor het beschrijven van een simulatie van digitale schakelingen.

Dit Verilog-model dient als specificatie voor een "fysieke" realisatie van de gemodelleerde component.

HDL-synthese kan worden gezien als een omzetting van de HDL-code in een "elektronisch schema" dat bestaat uit digitale componenten die met elkaar zijn verbonden. Dit wordt de "RTL-netwerklijst" (Log Transfer Level) genoemd.

Yosys is niet de enige gratis software voor Verilog-synthese (We kunnen ook denken aan het gebruik van ODIN II in de VTR-softwaresuite).

Maar zonder twijfel is vrije software degene waarover het meest recent is gesproken en waarvan de ontwikkeling het meest actief is.

In feite Yosys richt zich nu op veel goedkope FPGA's zoals CoolRunner-II, ECP5, MAX10, Cyclone IV en vooral de ICE40.

De ICE40 is een beetje speciaal op de lijst, want voor deze FPGA is de hele ontwikkelketen gelanceerd.

Natuurlijk we kunnen Verilog-synthese doen omdat de FPGA compatibel is met Yosys, maar ook de routeringslocatie met arachnepnr, evenals het genereren van het configuratiebestand (bitstream) met icepack / iceprog.

Het is ook mogelijk om de tijden te checken met icetime.

show_cmos

Over de nieuwe versie van Yosys

  • In deze nieuwe release van Yosys zijn de nieuwe functionaliteiten aangekondigd, waarvan het volgende kan worden benadrukt:
  • De FIRRTL-taal die wordt gebruikt door Chisel3 is een mogelijke backend om de netlijst te genereren;
  • De ondersteuning van de formele verificatie-engine van yosys-smtb kan bijna als stabiel worden beschouwd.
  • De lijst met ondersteunde FPGA's (en andere ASIC's) is hopeloos lang;
  • Evenals de «netlist» -formaten die herintegratie in de eigen software van de oprichters mogelijk maken: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Hoe Yosys op Linux te installeren?

Voor degenen die zijn geïnteresseerd in het kunnen proberen van deze tool, kunnen ze de installatie op hun systemen uitvoeren. Om dit te doen, moeten ze de instructies volgen die we hieronder delen.

naar degenen die Ubuntu-gebruikers en afgeleiden ervan zijn, kunnen genieten van een eenvoudige installatie​ Dit kan gedaan worden door de volgende repository aan uw systeem toe te voegen.

Eerst moeten we een terminal openen met Ctrl + ALT + T en daarin het volgende commando uitvoeren:

sudo add-apt-repository ppa:saltmakrell/ppa

Als we dit nu hebben gedaan, moeten we onze lijst met pakketten en opslagplaatsen bijwerken met:

sudo apt-get update 

En tot slot installeren we de applicatie met:

sudo apt-get install yosys

Voor de rest van de Linux-distributies moeten we de tool compileren​ Hiervoor moeten we de broncode van de GIT-repository klonen:

git clone https://github.com/cliffordwolf/yosys.git

Configureer build-instellingen met:

make config-clang

vi Makefile

vi Makefile.conf

Bouw, test en installeer ten slotte:

make

make test

sudo make install

Om er meer informatie over te krijgen, en ook om documentatie over het gebruik van Yosys te vinden, kunt u bezoek de volgende link.


Laat je reactie achter

Uw e-mailadres wordt niet gepubliceerd. Verplichte velden zijn gemarkeerd met *

*

*

  1. Verantwoordelijk voor de gegevens: AB Internet Networks 2008 SL
  2. Doel van de gegevens: Controle SPAM, commentaarbeheer.
  3. Legitimatie: uw toestemming
  4. Mededeling van de gegevens: De gegevens worden niet aan derden meegedeeld, behalve op grond van wettelijke verplichting.
  5. Gegevensopslag: database gehost door Occentus Networks (EU)
  6. Rechten: u kunt uw gegevens op elk moment beperken, herstellen en verwijderen.