Yosys: kerangka kerja sumber terbuka untuk alat sintesis Verilog

Yosys show_rtl

Minggu lalu Pengumuman dibuat oleh W. Clifford yang merilis Yosys versi 0.8 baru.

Bagi yang belum pernah mendengar tentang Yosys saya dapat memberitahu Anda bahwa eIni adalah kerangka kerja untuk alat sintesis RTL. Saat ini dihitung dengan kompatibilitas Verilog yang luas dan menyediakan sekumpulan algoritma sintesis dasar untuk berbagai domain aplikasi.

Banyak hal telah ditambahkan dalam rilis baru ini, tetapi informasi utama yang dapat dilihat di catatan rilis adalah bahwa pengembangan Yosys sangat aktif.

Tujuan Yosys untuk menjadi "pisau Swiss Army gratis" dari FPGA dapat dianggap sangat diperlukan saat ini.

Tentang Yosys Open SYnthesis Suite

yosys dapat diadaptasi untuk melakukan pekerjaan sintesis dengan menggabungkan lintasan yang ada (algoritma) menggunakan skrip sintesis dan menambahkan lintasan tambahan sesuai kebutuhan saat memperluas basis kode Yosys C ++.

Yosys adalah perangkat lunak gratis yang dilisensikan di bawah lisensi ISC (lisensi yang sesuai dengan GPL yang serupa dengan lisensi MIT atau lisensi 2 klausa BSD).

Dalam proses pengembangan FPGA / ASIC, sintesis adalah langkah untuk mengubah model perangkat keras yang disimulasikan menjadi "netlist RTL" dari mana rangkaian sebenarnya dapat diturunkan.

tampilkan_kasar

Tentang Verilog

Verilog adalah Bahasa Pemodelan Perangkat Keras (Hardware Description Language HDL) untuk menggambarkan simulasi rangkaian digital.

Model Verilog ini berfungsi sebagai spesifikasi untuk realisasi "fisik" dari komponen yang dimodelkan.

Sintesis HDL dapat dilihat sebagai konversi kode HDL menjadi "skema elektronik" yang terdiri dari komponen digital yang terhubung satu sama lain. Ini disebut "Daftar Jaringan RTL" (Tingkat Transfer Log).

Yosys bukan satu-satunya perangkat lunak gratis untuk sintesis Verilog (Kami juga dapat memikirkan untuk menggunakan ODIN II dalam rangkaian perangkat lunak VTR).

Tetapi, tanpa diragukan lagi, perangkat lunak bebas adalah yang paling baru dibicarakan dan yang perkembangannya paling aktif.

Faktanya, Yosys sekarang menargetkan banyak FPGA murah seperti CoolRunner-II, ECP5, MAX10, Cyclone IV dan terutama ICE40.

ICE40 agak istimewa dalam daftar, karena untuk FPGA ini seluruh rantai pengembangan telah diluncurkan.

Tentu saja, kita dapat melakukan sintesis Verilog karena FPGA kompatibel dengan Yosys, tetapi juga lokasi perutean dengan arachnepnr, serta pembuatan file konfigurasi (bitstream) dengan icepack / iceprog.

Dimungkinkan juga untuk memeriksa waktu dengan icetime.

tampilkan_cmos

Tentang versi baru Yosys

  • Dalam rilis baru Yosys ini, fungsi baru telah diumumkan, yang berikut ini dapat disorot:
  • Bahasa FIRRTL yang digunakan oleh Chisel3 adalah backend yang memungkinkan untuk menghasilkan netlist;
  • Dukungan mesin verifikasi formal yosys-smtb hampir dapat dianggap stabil.
  • Daftar FPGA yang didukung (dan ASIC lainnya) sangat panjang;
  • Serta format «netlist» yang memungkinkan integrasi ulang ke perangkat lunak milik para pendiri: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Bagaimana cara menginstal Yosys di Linux?

Bagi mereka yang tertarik untuk bisa mencoba alat ini, mereka dapat melakukan penginstalan di sistem mereka. Untuk melakukan ini, mereka harus mengikuti instruksi yang kami bagikan di bawah ini.

untuk mereka yang merupakan pengguna Ubuntu dan turunannya dapat menikmati instalasi sederhana. Ini dapat dilakukan dengan menambahkan repositori berikut ke sistem Anda.

Pertama kita harus membuka terminal dengan Ctrl + ALT + T dan di dalamnya jalankan perintah berikut:

sudo add-apt-repository ppa:saltmakrell/ppa

Selesai sekarang kita harus memperbarui daftar paket dan repositori kita dengan:

sudo apt-get update 

Dan akhirnya kami menginstal aplikasi dengan:

sudo apt-get install yosys

Untuk distribusi Linux lainnya, kita harus mengkompilasi alat tersebut. Untuk ini kita harus mengkloning kode sumber dari repositori GIT:

git clone https://github.com/cliffordwolf/yosys.git

Konfigurasikan pengaturan build dengan:

make config-clang

vi Makefile

vi Makefile.conf

Terakhir, buat, uji, dan instal:

make

make test

sudo make install

Untuk memperoleh lebih banyak informasi tentangnya, serta dapat menemukan dokumentasi tentang penggunaan Yosys, Anda dapat melakukannya kunjungi link berikut.


tinggalkan Komentar Anda

Alamat email Anda tidak akan dipublikasikan. Bidang yang harus diisi ditandai dengan *

*

*

  1. Bertanggung jawab atas data: AB Internet Networks 2008 SL
  2. Tujuan data: Mengontrol SPAM, manajemen komentar.
  3. Legitimasi: Persetujuan Anda
  4. Komunikasi data: Data tidak akan dikomunikasikan kepada pihak ketiga kecuali dengan kewajiban hukum.
  5. Penyimpanan data: Basis data dihosting oleh Occentus Networks (UE)
  6. Hak: Anda dapat membatasi, memulihkan, dan menghapus informasi Anda kapan saja.