Yosys: Ein Open Source-Framework für Verilog-Synthesewerkzeuge

Yosys show_rtl

In der vergangenen Woche Eine Ankündigung wurde von W. Clifford gemacht, der die neue Version 0.8 von Yosys veröffentlichte.

Für diejenigen, die noch nichts von Yosys gehört haben, kann ich Ihnen sagen, dass eDies ist ein Framework für RTL-Synthesewerkzeuge. Derzeit zählt mit breiter Verilog-Kompatibilität und bietet einen grundlegenden Satz von Synthesealgorithmen für verschiedene Anwendungsbereiche.

In dieser neuen Version wurden viele Dinge hinzugefügtDie wichtigste Information, die im Release Note zu sehen ist, ist jedoch, dass die Entwicklung von Yosys sehr aktiv ist.

Yosys 'Ziel, das "freie Schweizer Taschenmesser" der FPGA zu werden, kann heute als nahezu unverzichtbar angesehen werden.

Über Yosys Open SYnthesis Suite

yosys kann angepasst werden, um jede Synthesearbeit durchzuführen, indem vorhandene Durchgänge kombiniert werden (Algorithmen) Verwenden von Syntheseskripten und Hinzufügen zusätzlicher Durchgänge nach Bedarf, wenn die Yosys C ++ - Codebasis erweitert wird.

Yosys ist freie Software, die unter der ISC-Lizenz lizenziert ist (eine GPL-kompatible Lizenz, die der MIT-Lizenz oder der 2-Klausel-BSD-Lizenz ähnelt).

Im FPGA / ASIC-Entwicklungsprozess ist die Synthese der Schritt der Konvertierung des simulierten Hardwaremodells in eine "RTL-Netzliste", aus der die tatsächliche Schaltung abgeleitet werden kann.

show_grob

Über Verilog

Verilog ist eine Hardware-Modellierungssprache (Hardware Description Language HDL) zur Beschreibung einer Simulation digitaler Schaltungen.

Dieses Verilog-Modell dient als Spezifikation für eine "physikalische" Realisierung der modellierten Komponente.

Die HDL-Synthese kann als Umwandlung des HDL-Codes in ein "elektronisches Schema" angesehen werden, das aus miteinander verbundenen digitalen Komponenten besteht. Dies wird als "RTL-Netzwerkliste" (Log Transfer Level) bezeichnet.

Yosys ist nicht die einzige kostenlose Software für die Verilog-Synthese (Wir können auch daran denken, ODIN II in der VTR-Software-Suite zu verwenden).

Aber ohne Zweifel ist freie Software diejenige, über die zuletzt gesprochen wurde und deren Entwicklung am aktivsten ist.

Tatsächlich Yosys zielt jetzt auf viele billige FPGAs wie CoolRunner-II, ECP5, MAX10, Cyclone IV und insbesondere der ICE40.

Der ICE40 ist etwas Besonderes auf der Liste, da für dieses FPGA die gesamte Entwicklungskette gestartet wurde.

Natürlich Wir können die Verilog-Synthese durchführen, da das FPGA mit Yosys kompatibel ist, aber auch den Routing-Speicherort mit arachnepnr sowie die Generierung der Konfigurationsdatei (Bitstream) mit icepack / iceprog.

Es ist auch möglich, die Zeiten mit icetime zu überprüfen.

show_cmos

Über die neue Version von Yosys

  • In dieser neuen Yosys-Version wurden die neuen Funktionen angekündigt, von denen Folgendes hervorgehoben werden kann:
  • Die von Chisel3 verwendete FIRRTL-Sprache ist ein mögliches Backend zum Generieren der Netzliste.
  • Die Unterstützung der formalen Verifizierungs-Engine von yosys-smtb kann fast als stabil angesehen werden.
  • Die Liste der unterstützten FPGAs (und anderer ASICs) ist hoffnungslos lang.
  • Sowie die Formate «netlist», die eine Wiedereingliederung in die proprietäre Software der Gründer ermöglichen: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Wie installiere ich Yosys unter Linux?

Für die, die sind daran interessiert, dieses Tool ausprobieren zu könnenkönnen sie die Installation auf ihren Systemen durchführen. Dazu müssen sie die Anweisungen befolgen, die wir unten teilen.

zu Diejenigen, die Ubuntu-Benutzer und Derivate davon sind, können eine einfache Installation genießen. Dies kann durch Hinzufügen des folgenden Repositorys zu Ihrem System erfolgen.

Zuerst müssen wir ein Terminal mit Strg + ALT + T öffnen und darin den folgenden Befehl ausführen:

sudo add-apt-repository ppa:saltmakrell/ppa

Wenn wir dies jetzt tun, müssen wir unsere Liste der Pakete und Repositorys aktualisieren mit:

sudo apt-get update 

Und schließlich installieren wir die Anwendung mit:

sudo apt-get install yosys

Für den Rest der Linux-Distributionen müssen wir das Tool kompilieren. Dazu müssen wir den Quellcode des GIT-Repositorys klonen:

git clone https://github.com/cliffordwolf/yosys.git

Konfigurieren Sie die Build-Einstellungen mit:

make config-clang

vi Makefile

vi Makefile.conf

Zum Schluss erstellen, testen und installieren:

make

make test

sudo make install

Um weitere Informationen darüber zu erhalten und Dokumentation zur Verwendung von Yosys zu finden, können Sie dies tun Besuchen Sie den folgenden Link.


Hinterlasse einen Kommentar

Ihre E-Mail-Adresse wird nicht veröffentlicht. Erforderliche Felder sind markiert mit *

*

*

  1. Verantwortlich für die Daten: AB Internet Networks 2008 SL
  2. Zweck der Daten: Kontrolle von SPAM, Kommentarverwaltung.
  3. Legitimation: Ihre Zustimmung
  4. Übermittlung der Daten: Die Daten werden nur durch gesetzliche Verpflichtung an Dritte weitergegeben.
  5. Datenspeicherung: Von Occentus Networks (EU) gehostete Datenbank
  6. Rechte: Sie können Ihre Informationen jederzeit einschränken, wiederherstellen und löschen.