Yosys: un marc de codi obert per a eines de síntesi Verilog

Yosys show_rtl

La setmana passada es va realitzar un anunci per part de W. Clifford qui va donar a conèixer la nova versió 0.8 de Yosys.

Per als qui no han escoltat parlar sobre Yosys els puc dir que iAquest és un marc per a eines de síntesi RTL. actualment compta amb una àmplia compatibilitat amb Verilog i proporciona un conjunt bàsic d'algorismes de síntesi per a diversos dominis d'aplicació.

En aquest nou llançament s'han afegit moltes coses, Però la informació principal que es pot veure a la nota de llançament és que el desenvolupament de Yosys és molt actiu.

L'objectiu d'Yosys d'esdevenir la «navalla suïssa gratuïta» de FPGA es pot considerar gairebé indispensable a el dia avui.

Sobre Yosys Open Synthesis Suite

Yosys es pot adaptar per a realitzar qualsevol treball de síntesi combinant les passades existents (Algoritmes) usant scripts de síntesi i afegint passades addicionals segons sigui necessari a l'estendre la base de codis C ++ de Yosys.

Yosys és un programari gratuït amb llicència sota la llicència ISC (una llicència compatible amb GPL que és similar en termes a la llicència MIT o la llicència BSD de 2 clàusules).

En el procés de desenvolupament de FPGA / ASIC, la síntesi és el pas de conversió de el model de maquinari simulat a «netlist RTL» de el qual es pot derivar el circuit real.

show_coarse

sobre Verilog

Verilog és un llenguatge de modelatge de maquinari (HDL de llenguatge de descripció de maquinari) per descriure una simulació de circuits digitals.

Aquest model Verilog serveix com especificació per a una realització «física» de l'component modelat.

La síntesi HDL es pot veure com una conversió de el codi HDL en un «esquema electrònic» que consisteix en components digitals connectats entre si. Això s'anomena «llista de xarxa RTL» (Nivell de transferència de registre).

Yosys no és l'únic programari gratuït per a la síntesi de Verilog (També podem pensar en l'ús de ODIN II a la suite de programari VTR).

Però, sense cap dubte, el programari lliure és el que més s'ha parlat d'ell recentment i el desenvolupament és el més actiu.

De fet, Yosys ara apunta molts FPGA barats com CoolRunner-II, ECP5, MAX10, Cyclone IV i especialment el ICE40.

El ICE40 és una mica especial a la llista, ja que per a aquest FPGA s'ha llançat tota la cadena de desenvolupament.

Per descomptat, podem fer la síntesi de Verilog ja que el FPGA és compatible amb Yosys, Però també la ubicació d'enrutament amb arachnepnr, així com la generació de l'arxiu de configuració (flux de bits) amb icepack / iceprog.

També és possible verificar els temps amb icetime.

show_cmos

Sobre la nova versió de Yosys

  • En aquest nou llançament de Yosys s'han donat a conèixer les noves funcionalitats de les quals es poden destacar:
  • El llenguatge FIRRTL utilitzat per Chisel3 és un possible «backend» per generar el netlist;
  • El suport de l'motor de verificació formal de yosys-smtb gairebé es pot considerar estable.
  • La llista de FPGA suportats (i altres ASIC) s'allarga irremeiablement;
  • Així com els formats de «netlist» que permeten tornar a integrar-se al programari propietari dels fundadors: BLIF, EDIF, BTOR, SMT-LIB, RTL Verilog, FIRRTL

Com instal·lar Yosys a Linux?

per als que estiguin interessats en poder provar aquesta eina, Poden realitzar la instal·lació en els seus sistemes. Per a això han de seguir les instruccions que compartim a continuació.

Per a la els que són usuaris d'Ubuntu i derivats d'aquest poden gaudir d'una senzilla instal·lació. Això ho poden fer afegint el següent repositori al seu sistema.

Primer hem d'obrir una terminal amb Ctrl + ALT + T i en ella executar la següent comanda:

sudo add-apt-repository ppa:saltmakrell/ppa

Fet això ara hem d'actualitzar el nostre llistat de paquets i repositoris amb:

sudo apt-get update 

I finalment vam instal·lar l'aplicació amb:

sudo apt-get install yosys

Per a la resta de les distribucions de Linux hem de compilar l'eina. Per a això hem de clonar el codi font de l'repositori GIT:

git clone https://github.com/cliffordwolf/yosys.git

Configura les configuracions de compilació amb:

make config-clang

vi Makefile

vi Makefile.conf

Finalment construir, provar i instal·lar:

make

make test

sudo make install

Per poder obtenir més informació a l'respecte, així com poder trobar documentació sobre l'ús de Yosys poden visitar el següent enllaç.


Deixa el teu comentari

La seva adreça de correu electrònic no es publicarà. Els camps obligatoris estan marcats amb *

*

*

  1. Responsable de les dades: AB Internet Networks 2008 SL
  2. Finalitat de les dades: Controlar l'SPAM, gestió de comentaris.
  3. Legitimació: El teu consentiment
  4. Comunicació de les dades: No es comunicaran les dades a tercers excepte per obligació legal.
  5. Emmagatzematge de les dades: Base de dades allotjada en Occentus Networks (UE)
  6. Drets: En qualsevol moment pots limitar, recuperar i esborrar la teva informació.